简易数字钟设计实验

简易数字钟设计实验

一.实验目的:

1)学习掌握数字系统综合设计方法。

2)学习掌握层次设计方法。

3)学习掌握设计下载方法。

4)学习掌握实验系统使用方法。

二.实验内容

1)复习二进制加法器的功能。

2)学习VHDL 语言源程序输入方法。

3) 学习VHDL 语言源程序检查和修改。

4)学习仿真,引脚锁定。

5)下载验证。

三.实验设备

1)清华同方P Ⅳ 2.4G\256M60G

2)ISE 6.2i—Windows 软件系统

3)多功能EDA 实验系统

四.实验步骤

1)编写、调试实验程序和实验结果。

2)仿真并下载验证。

3)经老师验收后结束本次实验离开。

五.实验程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity jinzhi24 is

port(en,clk:in std_logic;

temp:in std_logic;

q_h,q_l:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end jinzhi24;

architecture Behavioral of jinzhi24 is

signal temp_h:std_logic_vector(3 downto 0):="0010";

signal temp_l:std_logic_vector(3 downto 0):="0010";

begin

process(en,clk)

begin

if clk='1' and clk'event then

if en='1' and temp='1'then

if temp_l="0011" and temp_h="0010" then

temp_l

temp_h

else

if temp_l="1001" then

temp_l

temp_h

else

temp_l

end if;

end if;

end if;

end if;

end process;

q_h

q_l

qcc

end Behavioral;

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity jinzhi60 is

port(clk,en,clr:in std_logic;

qh,ql:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end jinzhi60;

architecture Behavioral of jinzhi60 is

signal qccl:std_logic;

signal qtempl,qtemph:std_logic_vector(3 downto 0);

begin

ql

qccl

qcc

p1:process(clk,en,clr) --个位计数器

begin

if clr='1' then --异步清零功能

qtempl

else

if clk='1' and clk'event then

if en='1' then

if qtempl="1001" then

qtempl

else

qtempl

end if;

end if;

end if;

end if;

end process p1;

p2:process(clk,clr) --十位计数器

begin

if clr='1' then --异步清零功能

qtemph

else

if clk='1' and clk'event then

if qccl='1' and en='1'then

if qtemph="0101" then

qtemph

else

qtemph

end if;

end if;

end if;

end if;

end process p2;

end Behavioral;

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity synthesis_clock is

Port ( clr:in std_logic;

enble:in std_logic;

clk: in std_logic;

output1 : out std_logic_vector(3 downto 0);

output2 : out std_logic_vector(3 downto 0);

output3 : out std_logic_vector(3 downto 0);

output4 : out std_logic_vector(3 downto 0);

output5 : out std_logic_vector(3 downto 0);

output6 : out std_logic_vector(3 downto 0);

qcc:out std_logic);

end synthesis_clock;

architecture Behavioral of synthesis_clock is

component jinzhi60 is

port(clk,en,clr:in std_logic;

qh,ql:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end component;

component jinzhi24 is

port(en,clk:in std_logic;

temp:in std_logic;

q_h,q_l:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end component;

signal qcc1,qcc2,qcc3:std_logic;

signal temp1,temp2,temp3,temp4,temp5,temp6:std_logic_vector(3 downto 0); begin

u1: jinzhi60 port map(clk,enble,clr,temp2,temp1,qcc1);

u2: jinzhi60 port map(clk,qcc1,clr,temp4,temp3,qcc2);

u3: jinzhi24 port map(qcc2,clk,qcc1,temp6,temp5,qcc3);

output1

output2

output3

output4

output5

output6

qcc

end Behavioral;

六.仿真结果

1.60,24进制计数器

简易数字钟设计实验

一.实验目的:

1)学习掌握数字系统综合设计方法。

2)学习掌握层次设计方法。

3)学习掌握设计下载方法。

4)学习掌握实验系统使用方法。

二.实验内容

1)复习二进制加法器的功能。

2)学习VHDL 语言源程序输入方法。

3) 学习VHDL 语言源程序检查和修改。

4)学习仿真,引脚锁定。

5)下载验证。

三.实验设备

1)清华同方P Ⅳ 2.4G\256M60G

2)ISE 6.2i—Windows 软件系统

3)多功能EDA 实验系统

四.实验步骤

1)编写、调试实验程序和实验结果。

2)仿真并下载验证。

3)经老师验收后结束本次实验离开。

五.实验程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity jinzhi24 is

port(en,clk:in std_logic;

temp:in std_logic;

q_h,q_l:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end jinzhi24;

architecture Behavioral of jinzhi24 is

signal temp_h:std_logic_vector(3 downto 0):="0010";

signal temp_l:std_logic_vector(3 downto 0):="0010";

begin

process(en,clk)

begin

if clk='1' and clk'event then

if en='1' and temp='1'then

if temp_l="0011" and temp_h="0010" then

temp_l

temp_h

else

if temp_l="1001" then

temp_l

temp_h

else

temp_l

end if;

end if;

end if;

end if;

end process;

q_h

q_l

qcc

end Behavioral;

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity jinzhi60 is

port(clk,en,clr:in std_logic;

qh,ql:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end jinzhi60;

architecture Behavioral of jinzhi60 is

signal qccl:std_logic;

signal qtempl,qtemph:std_logic_vector(3 downto 0);

begin

ql

qccl

qcc

p1:process(clk,en,clr) --个位计数器

begin

if clr='1' then --异步清零功能

qtempl

else

if clk='1' and clk'event then

if en='1' then

if qtempl="1001" then

qtempl

else

qtempl

end if;

end if;

end if;

end if;

end process p1;

p2:process(clk,clr) --十位计数器

begin

if clr='1' then --异步清零功能

qtemph

else

if clk='1' and clk'event then

if qccl='1' and en='1'then

if qtemph="0101" then

qtemph

else

qtemph

end if;

end if;

end if;

end if;

end process p2;

end Behavioral;

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity synthesis_clock is

Port ( clr:in std_logic;

enble:in std_logic;

clk: in std_logic;

output1 : out std_logic_vector(3 downto 0);

output2 : out std_logic_vector(3 downto 0);

output3 : out std_logic_vector(3 downto 0);

output4 : out std_logic_vector(3 downto 0);

output5 : out std_logic_vector(3 downto 0);

output6 : out std_logic_vector(3 downto 0);

qcc:out std_logic);

end synthesis_clock;

architecture Behavioral of synthesis_clock is

component jinzhi60 is

port(clk,en,clr:in std_logic;

qh,ql:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end component;

component jinzhi24 is

port(en,clk:in std_logic;

temp:in std_logic;

q_h,q_l:out std_logic_vector(3 downto 0);

qcc:out std_logic);

end component;

signal qcc1,qcc2,qcc3:std_logic;

signal temp1,temp2,temp3,temp4,temp5,temp6:std_logic_vector(3 downto 0); begin

u1: jinzhi60 port map(clk,enble,clr,temp2,temp1,qcc1);

u2: jinzhi60 port map(clk,qcc1,clr,temp4,temp3,qcc2);

u3: jinzhi24 port map(qcc2,clk,qcc1,temp6,temp5,qcc3);

output1

output2

output3

output4

output5

output6

qcc

end Behavioral;

六.仿真结果

1.60,24进制计数器


相关文章

  • 全国电子设计大赛题目分析
  • 第6章 全国大学生电子设计竞赛题目与 分析 6.1 全国大学生电子设计竞赛简介 6.1.1 总则 1.指导思想与目的 全国大学生电子设计竞赛是教育部倡导的大学生学科竞赛之一,是面向大学生的群众性科技活动,目的在于推动高等学校促进信息与电子类 ...查看


  • 基于AT89S52单片机的简易数字示波器设计
  • 摘要:介绍一种双通道简易数字示波器的设计方案,以AT89S52单片机作为控制核心,输入信号在经过预处理电路处理后,通过高速A/D转换器ADC0809,实现信号的实时采样.数据处理.存储并在液晶上显示,并用键盘做功能设置.该设计创新地实现了波 ...查看


  • 简易数字频率计设计报告
  • 简易数字频率计设计报告 目录 一. 设计任务和要求................................................... 2 二. 设计的方案的选择与论证........................... ...查看


  • 秀简易数字频率计设计1
  • 课程设计基本要求课程设计是工科学生十分重要的实践教学环节,通过课程设计,培养学生综 合运用先修课程的理论知识和专业技能,解决工程领域某一方面实际问题的能力. 课程设计报告是科学论文写作的基础,不仅可以培养和训练学生的逻辑归纳能力. 综合分析 ...查看


  • 简易数字频率计课程设计报告. 1
  • 目录 第一章 概述 1.1 数字频率计功能及特点 1.2 数字频率计应用意义 第二章 设计方案 2.1 设计指标与要求 2.2 设计原理 2.3方案论证 第三章 数字频率计分析及参数设计 3.1 电路基本原理 3.2 时基电路设计 3.3闸 ...查看


  • 毕业设计_基于单片机的简易逻辑分析仪
  • 基于单片机的简易逻辑分析仪 目 录 第1节 引 言„„„„„„„„„„„„„„„„„„„„„„„„„„„„„3 1.1 系统概述„„„„„„„„„„„„„„„„„„„„„„„„„„„3 1.1.1系统的特点„„„„„„„„„„„„„„„„„ ...查看


  • 程控高效率音频功率放大器
  • 控制<电子系统设计>参考题 1.数控直流可变稳压电源的设计 1.1设计任务与要求 (1)用集成芯片制作一个2-9V 的直流电源. (2)最大功率要求8W 以上. (3)电压的调整步进为1V 并有相应的指示. (4)具有过压.过流 ...查看


  • 简易函数信号发生器
  • 课程设计任务书 (一)设计目的 1.掌握信号发生器的设计方法和测试技术. 2.了解单片函数发生器IC8038的工作原理和应用. 3.学会安装和调试分立元件与集成电路组成的多级电子电路小系统. (二)设计技术指标与要求 1.设计要求 (1)电 ...查看


  • 简易数字频率计课程设计报告 2
  • 北 华 航 天 工 业 学 院< 数字电子技术 >课程设计报告报 告 题 目 : 作者所在系部: 作者所在专业: 作者所在班级: 作 者 姓 名 : 作 者 学 号 : 指导教师姓名: 完 成 时 间 :简易数字频率计的设计 计 ...查看


热门内容