抢答器电路

内 容 摘 要

抢答器在现实生活中很常见,比赛的抢答很需要。虽很普及,但其作用很大,对比赛的公平公正起着很大的作用。

此次课设的抢答器,会用到优先编码器,锁存器,译码器,加法器,计数器和定时器,其实现的功能就是对优先抢到答题的选手进行编码锁存,在显示器中显示出来,用以告诉主持人,让其答题。

关键字:编码器 译码器 锁存器 加法器 计数器|

目 录

一、概述............................................................ 1 二、方案设计与论证.................................................. 1

1.组成方框图................................................... 1 三、单元电路设计与分析.............................................. 1

1.抢答器电路设计............................................... 1 2.定时器电路................................................... 5 四、总原理图及元器件清单............................................ 6

1.总原理图..................................................... 6 2.元器件清单................................................... 7 五、结论............................................................ 7 六、心得体会........................................................ 8 七、参考文献........................................................ 9

一、概述

要完成抢答器,首先要在主持人闭合开关以后,对第一个抢到的的选手要进行优先编码,再对其进行锁存,通过译码器进行显示,同时报警器对其报警,以提示有人抢到,计数器开始倒计时;若在规定时间无人抢答,报警器再次报警,以提示主持人,无人抢答此题。

二、方案设计与论证 1.组成方框图

按照设计思路,可得图2.1所示的抢答器组成方框图

图2.1 抢答器组成原理框图

三、单元电路设计与分析 1.抢答器电路设计

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S 置于" 清除" 端时,RS 触发器的 端均为0,4个触发器输出置0,使74LS148的 ,使之处于工作状态。当开关S 置于" 开始" 时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS 锁存后,1Q=1, 74LS48处于工作状态,4Q 3Q 2Q=101,经译码显示为" 5" 。此外,编码器技能输出端YS=0,使74LS148处于禁止状态,封锁其他按键的输入。当按键松开即按下时,

74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置; 清除" 然后再进行下一轮抢答。

(1) 优先编码器 74LS148

优先编码器既在同一时间内,当有多个输入信号请求编码时,只对优先级别高的信号进行编码的逻辑电路,称为优先编码器。常用的集成优先编码器有74LS148(8线-3线)和74LS147(10线-4线)两种制式。

优先编码器是较常用的编码器,下面以74LS148为例,介绍它的逻辑功能。此芯片为8线-3线优先编码器。图3.1(a )是其功能简图,图3.1(b )是管脚引线图,表3.1是其真值表。

表3.1 74LS148 8线-3线优先编码真值表

I S EI

Y s YEY

012I 34567

图3.1 (a )功能简图 图3.1 (b )管脚引线图

功能说明:74LS148的输入端和输出端低电平有效。图3.1(a )是其功能简

图,图中电源和地未画,

I 0

~I 7是输入信号,Y 2~Y 0为三位二进制编码输出信

Y S

号,I S =1时,编码器禁止编码,当I S =0时,允许编码。有在I S =0,而0而

I 0

I 0

是技能输出端,只

~I 7均无编码输入信号时为0。Y EX 为优先编码输出端,在I S =

I 0

~I 7的其中之一有信号时,Y EX =0。

I 0

~I 7各输入端的优先顺序为:I 7级

别最高,

级别最低。如果I 7=0(有信号),则其它输入端即使有输入信号,均

不起作用,此时输出只按I 7编码,Y 2Y 1Y 0=000。优先编码被广泛用于计算机控制系统中,当有多个外设申请中断时,优先编码器总是给优先级别高的设备先编 (2)RS 触发器

1. 保持状态。当输入端接入S =R =1的电平时,如果基本SR 触发器现态Q =1、

Q

=0,则触发器次态Q =1、Q =0;若基本SR 触发器的现态Q =0、Q =1,则触发

器次态Q =0、Q =1。即S =R =1时,触发器保持原状态不变。

2. 置0状态。当S =1,R =0时,如果基本SR 触发器现态为Q =1、Q =0,因

R

=0,会使Q =1,而Q =1与S =1共同作用使Q 端翻转为0;如果基本SR 触发器

现态为Q =0、Q =1,同理会使Q =0,Q =1。只要输入信号S =1,R =0,无论基本SR 触发器的输出现态如何,均会使输出次态置为0态。

3. 置1状态。当S =0、R =1时,如果触发器现态为Q =0、Q =1,因S =0,会使G1的输出端次态翻转为1,而Q =1和R =1共同使G2的输出端Q =0;同理当Q =1、Q =0,也会使触发器的次态输出为Q =1、Q =0;只要S =0、R =1,无论触发器现态如何,均会将触发器置1。

4. 不定状态。当S =R =0时,无论触发器的原状态如何,均会使Q =1,Q =1。当脉冲去掉后,S 和R 同时恢复高电平后,触发器的新状态要看G1 和G2两个门翻转速度快慢,所以称S =R =0是不定状态,在实际电路中要避免此状态出现。基本SR 触发器的逻辑图、逻辑符号和波形图如图3.2所示

2

G 1

(a )逻辑图 (b) 逻辑符号 (c) 波形图

图3.2 基本SR 触发器

基本SR 触发器的输出端Q 随输入电平S 和R 变化的波形图如图3.2(C )所示。表3.2是基本SR 触发器功能真值表,用它来描述SR 触发器的逻辑功能。由表1-4化简得到逻辑功能表达式(也称为特性方程)如式3.1所示,S ⋅R =0,称之为约束条件。图3.3是74LS279管脚引线图。

表3.2 基本SR 触发器功能真值表

综上所述基本SR 触发器具有置0、置1、保持功能且不允许S 与R 同时为0,

n

⎧Q n +1SR =触发器。

S +R Q 集成产品74LS279就是这种四

S ⋅R =0

对应的特性方程为:⎩

(3.1)

n +1

其中,

Q

n

表示现态,即原态。

Q

表示次态,即新状态。

图3.3 74LS279管脚引线图

2.定时器电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计 (1)同步十进制计数器

十进制计数器品种很多,有十进制加法计数器、十进制减法计数器和十进可逆计数器,下面仅以74LS192同步十进制可逆计数器为例。介绍它的功能特点。74LS192是属8421BCD 码,它的功能简图如图3.4所示,它的功能真值表如表3.3所示。从表3.3可见:

CR

是异步清零端,且高电平有效。

是并行置数端,低电平有效,且在CR =0有效。

CP U

CP

和CP D 是两个时钟脉冲,当CP D =1,时钟脉冲由U 端接入。并且

LD

CR =0, LD =1

时,74LS192处于加法计数状态;当

CP U =1

脉冲从CP D 端输入,

CP =CP U =1

且CR =0, LD =1时,74LS192处于减法计数状态;D 时,计数器处于

保持状态。

④ CO 是进位端,BO 是借位端。

表3.3 74LS192功能真值表

图3.4 74LS192 线引脚表

四、总原理图及元器件清单

1.总原理图

图4.1 总原理图

2.元器件清单

表4.1 元器件清单表

五、结论

抢答器同时供8名选手或8个代表队比赛。

设置了一个系统清除和抢答控制开关S ,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出持续的时间0.5秒左右的声响。

5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6. 定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

六、心得体会

电子实习留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚持的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在通多次对电路的改进,上机仿真以及接线调试,终于使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。

设计单元电路阶段,这个阶段可以说是考察数电书本知识的阶段。所有的设计方法还有步骤在数电书上都有,而且还有例题。这个阶段遇到的主要问题就是以前的知识忘记不少,所以做设计的时候要常随手翻阅课本,等于是做了几道数电作业题。这个阶段的难度也不是很大,一般翻课本就可以找到答案并解决问题。 实验阶段可以说是这次设计中最重要的部分,因为以前的只是理论而不是真正的实体。所以说它是最重要的。实验阶段我们遇到的问题有:对软件不熟悉;对实验过程中信号的测量知识学习很少;因为各个模块是分开做而后又组装到一起的,所以兼容性不是很好(也就是不能融合为一个整体,部分工作能行但是接到一起就会出现问题); 针对以上几个问题我们作出了以下的“对策”:软件不熟悉,就借来参考书,一步一步的对着学,而且老师给的资料上也有软件的使用说明,所以随着接触的增加软件也就越来越熟悉,这方面的问题不是太难因为一边理论一边学习正好是学习的好方法,而且也学的特别快。

制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。

总之,通过这次练习我有了一定的收获。在摸索该如何设计电路使之实现所

需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。

七、参考文献

[1] 崔瑞雪,电子技术动手实践,北京:北京航空航天大学出版社

[2] 李国洪,电子技术基础,廊坊:北华航天工业学院出版

[2] 李中发,数字电子技术,北京:中国水利水电出版社

[2] 阎石,数字电路电子技术基础,北京:高等教育出版社

9

10

内 容 摘 要

抢答器在现实生活中很常见,比赛的抢答很需要。虽很普及,但其作用很大,对比赛的公平公正起着很大的作用。

此次课设的抢答器,会用到优先编码器,锁存器,译码器,加法器,计数器和定时器,其实现的功能就是对优先抢到答题的选手进行编码锁存,在显示器中显示出来,用以告诉主持人,让其答题。

关键字:编码器 译码器 锁存器 加法器 计数器|

目 录

一、概述............................................................ 1 二、方案设计与论证.................................................. 1

1.组成方框图................................................... 1 三、单元电路设计与分析.............................................. 1

1.抢答器电路设计............................................... 1 2.定时器电路................................................... 5 四、总原理图及元器件清单............................................ 6

1.总原理图..................................................... 6 2.元器件清单................................................... 7 五、结论............................................................ 7 六、心得体会........................................................ 8 七、参考文献........................................................ 9

一、概述

要完成抢答器,首先要在主持人闭合开关以后,对第一个抢到的的选手要进行优先编码,再对其进行锁存,通过译码器进行显示,同时报警器对其报警,以提示有人抢到,计数器开始倒计时;若在规定时间无人抢答,报警器再次报警,以提示主持人,无人抢答此题。

二、方案设计与论证 1.组成方框图

按照设计思路,可得图2.1所示的抢答器组成方框图

图2.1 抢答器组成原理框图

三、单元电路设计与分析 1.抢答器电路设计

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S 置于" 清除" 端时,RS 触发器的 端均为0,4个触发器输出置0,使74LS148的 ,使之处于工作状态。当开关S 置于" 开始" 时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS 锁存后,1Q=1, 74LS48处于工作状态,4Q 3Q 2Q=101,经译码显示为" 5" 。此外,编码器技能输出端YS=0,使74LS148处于禁止状态,封锁其他按键的输入。当按键松开即按下时,

74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置; 清除" 然后再进行下一轮抢答。

(1) 优先编码器 74LS148

优先编码器既在同一时间内,当有多个输入信号请求编码时,只对优先级别高的信号进行编码的逻辑电路,称为优先编码器。常用的集成优先编码器有74LS148(8线-3线)和74LS147(10线-4线)两种制式。

优先编码器是较常用的编码器,下面以74LS148为例,介绍它的逻辑功能。此芯片为8线-3线优先编码器。图3.1(a )是其功能简图,图3.1(b )是管脚引线图,表3.1是其真值表。

表3.1 74LS148 8线-3线优先编码真值表

I S EI

Y s YEY

012I 34567

图3.1 (a )功能简图 图3.1 (b )管脚引线图

功能说明:74LS148的输入端和输出端低电平有效。图3.1(a )是其功能简

图,图中电源和地未画,

I 0

~I 7是输入信号,Y 2~Y 0为三位二进制编码输出信

Y S

号,I S =1时,编码器禁止编码,当I S =0时,允许编码。有在I S =0,而0而

I 0

I 0

是技能输出端,只

~I 7均无编码输入信号时为0。Y EX 为优先编码输出端,在I S =

I 0

~I 7的其中之一有信号时,Y EX =0。

I 0

~I 7各输入端的优先顺序为:I 7级

别最高,

级别最低。如果I 7=0(有信号),则其它输入端即使有输入信号,均

不起作用,此时输出只按I 7编码,Y 2Y 1Y 0=000。优先编码被广泛用于计算机控制系统中,当有多个外设申请中断时,优先编码器总是给优先级别高的设备先编 (2)RS 触发器

1. 保持状态。当输入端接入S =R =1的电平时,如果基本SR 触发器现态Q =1、

Q

=0,则触发器次态Q =1、Q =0;若基本SR 触发器的现态Q =0、Q =1,则触发

器次态Q =0、Q =1。即S =R =1时,触发器保持原状态不变。

2. 置0状态。当S =1,R =0时,如果基本SR 触发器现态为Q =1、Q =0,因

R

=0,会使Q =1,而Q =1与S =1共同作用使Q 端翻转为0;如果基本SR 触发器

现态为Q =0、Q =1,同理会使Q =0,Q =1。只要输入信号S =1,R =0,无论基本SR 触发器的输出现态如何,均会使输出次态置为0态。

3. 置1状态。当S =0、R =1时,如果触发器现态为Q =0、Q =1,因S =0,会使G1的输出端次态翻转为1,而Q =1和R =1共同使G2的输出端Q =0;同理当Q =1、Q =0,也会使触发器的次态输出为Q =1、Q =0;只要S =0、R =1,无论触发器现态如何,均会将触发器置1。

4. 不定状态。当S =R =0时,无论触发器的原状态如何,均会使Q =1,Q =1。当脉冲去掉后,S 和R 同时恢复高电平后,触发器的新状态要看G1 和G2两个门翻转速度快慢,所以称S =R =0是不定状态,在实际电路中要避免此状态出现。基本SR 触发器的逻辑图、逻辑符号和波形图如图3.2所示

2

G 1

(a )逻辑图 (b) 逻辑符号 (c) 波形图

图3.2 基本SR 触发器

基本SR 触发器的输出端Q 随输入电平S 和R 变化的波形图如图3.2(C )所示。表3.2是基本SR 触发器功能真值表,用它来描述SR 触发器的逻辑功能。由表1-4化简得到逻辑功能表达式(也称为特性方程)如式3.1所示,S ⋅R =0,称之为约束条件。图3.3是74LS279管脚引线图。

表3.2 基本SR 触发器功能真值表

综上所述基本SR 触发器具有置0、置1、保持功能且不允许S 与R 同时为0,

n

⎧Q n +1SR =触发器。

S +R Q 集成产品74LS279就是这种四

S ⋅R =0

对应的特性方程为:⎩

(3.1)

n +1

其中,

Q

n

表示现态,即原态。

Q

表示次态,即新状态。

图3.3 74LS279管脚引线图

2.定时器电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计 (1)同步十进制计数器

十进制计数器品种很多,有十进制加法计数器、十进制减法计数器和十进可逆计数器,下面仅以74LS192同步十进制可逆计数器为例。介绍它的功能特点。74LS192是属8421BCD 码,它的功能简图如图3.4所示,它的功能真值表如表3.3所示。从表3.3可见:

CR

是异步清零端,且高电平有效。

是并行置数端,低电平有效,且在CR =0有效。

CP U

CP

和CP D 是两个时钟脉冲,当CP D =1,时钟脉冲由U 端接入。并且

LD

CR =0, LD =1

时,74LS192处于加法计数状态;当

CP U =1

脉冲从CP D 端输入,

CP =CP U =1

且CR =0, LD =1时,74LS192处于减法计数状态;D 时,计数器处于

保持状态。

④ CO 是进位端,BO 是借位端。

表3.3 74LS192功能真值表

图3.4 74LS192 线引脚表

四、总原理图及元器件清单

1.总原理图

图4.1 总原理图

2.元器件清单

表4.1 元器件清单表

五、结论

抢答器同时供8名选手或8个代表队比赛。

设置了一个系统清除和抢答控制开关S ,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出持续的时间0.5秒左右的声响。

5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6. 定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

六、心得体会

电子实习留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚持的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在通多次对电路的改进,上机仿真以及接线调试,终于使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。

设计单元电路阶段,这个阶段可以说是考察数电书本知识的阶段。所有的设计方法还有步骤在数电书上都有,而且还有例题。这个阶段遇到的主要问题就是以前的知识忘记不少,所以做设计的时候要常随手翻阅课本,等于是做了几道数电作业题。这个阶段的难度也不是很大,一般翻课本就可以找到答案并解决问题。 实验阶段可以说是这次设计中最重要的部分,因为以前的只是理论而不是真正的实体。所以说它是最重要的。实验阶段我们遇到的问题有:对软件不熟悉;对实验过程中信号的测量知识学习很少;因为各个模块是分开做而后又组装到一起的,所以兼容性不是很好(也就是不能融合为一个整体,部分工作能行但是接到一起就会出现问题); 针对以上几个问题我们作出了以下的“对策”:软件不熟悉,就借来参考书,一步一步的对着学,而且老师给的资料上也有软件的使用说明,所以随着接触的增加软件也就越来越熟悉,这方面的问题不是太难因为一边理论一边学习正好是学习的好方法,而且也学的特别快。

制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。

总之,通过这次练习我有了一定的收获。在摸索该如何设计电路使之实现所

需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。

七、参考文献

[1] 崔瑞雪,电子技术动手实践,北京:北京航空航天大学出版社

[2] 李国洪,电子技术基础,廊坊:北华航天工业学院出版

[2] 李中发,数字电子技术,北京:中国水利水电出版社

[2] 阎石,数字电路电子技术基础,北京:高等教育出版社

9

10


相关文章

  • 智力竞赛抢答器逻辑电路设计1
  • 多路智力竞赛抢答器 XXXX (XXXX 学院 电子信息工程学院,XXXX XXXX) 摘要:抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合.本设计以多路智力竞赛抢答器为基本概念,从实际应用出发, 利用电子设计自动化( EDA) ...查看


  • _八路智力抢答器
  • 题目 八路智力抢答器的设计 班级 机电09-2班 学号__ ______ 姓名______________ 指导__ _____ 时间 2010年12月 电工电子技术课程设计任务书 目录 1. 总体方案与原理说明. . . . . . . ...查看


  • 智力竞赛抢答器
  • 数字电子技术课程设计 智力竞赛抢答器 系 . 部: 指导教师: 专 业: 电子信息工程 班 级: 完成时间: 2011-6-7 设计学生: 陆涛 摘 要 抢答器很广泛的用于电视台.商业机构及学校,为竞赛增添了刺激性.娱乐性,在一定 程上丰富 ...查看


  • 多路数字抢答器设计报告
  • 题目:多路数字抢答器设计 专业班级:姓 名:时 间:指导教师:完成日期: 2012年06月 08 日 多路数字抢答器设计任务书 1.设计目的与要求 设计一个八位智力竞赛抢答器.准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功 ...查看


  • 十五路智能抢答器
  • 姓 名: 曹卫 课 程 设 计 题 目 学 院 专 业 班 级 姓 名 指导教师 十五路智能抢答器 信息工程学院 通信工程 通行0805 曹卫 周建新 2010年 7 月 9 日 课程设计任务书 学生姓名: 曹卫 专业班级: 通行0805 ...查看


  • 智能抢答器毕业设计
  • 电 子 机 械 高 等 专 科 学 校 2011 届毕业设计(论文) 智能抢答器毕业设计 学生姓名: 指导教师: 专 业: 班 级: - 1 - 目 录 摘要 ········································ ...查看


  • 智力竞赛抢答器课程设计[1].
  • 数字电路课程设计 八 路 智 力 抢 答 器 学校: 院系: 姓名: 班级: 学号: 时间: 河南大学 计算机与信息工程学院 07 自动化 2009-12-24 1 目录 第一章 引言 ----------------------3 1.1 ...查看


  • 四人智力竞赛抢答器
  • 电子工艺实训报告 实训课题 智力竞赛抢答器的制作与调试 学号 ************* 年级 ** 学院 ***** 专业 *********** 姓名 ****** 指导教师 ****** 一.实训目的 (1) 学习识别简单的电子元件与 ...查看


  • 八路抢答器 2
  • NANCHANG UNIVERSITY GONGQING COLLEGE 中文题目: 英文题目: 学 院: 系 别: 专业班级: 学生姓名: 学 号: 指导教师:课程设计 CURRICULUM DESIGN (2012-2013年) 八路抢 ...查看


  • 智力竞赛抢答装置的设计与调试
  • 项目任务书 题目: 智力竞赛抢答装置的设计与调试 系 部 学科门类 专 业 学 号 姓 名 班 级 2015年12月12日 智力竞赛抢答装置的设计与调试 摘 要 抢答器在现实生活中很常见,主要运用于各类竞赛中.在竞赛中往往分为几组参加,这是 ...查看


热门内容